質問をすることでしか得られない、回答やアドバイスがある。

15分調べてもわからないことは、質問しよう!

新規登録して質問してみよう
ただいま回答率
85.48%
C

C言語は、1972年にAT&Tベル研究所の、デニス・リッチーが主体となって作成したプログラミング言語です。 B言語の後継言語として開発されたことからC言語と命名。そのため、表記法などはB言語やALGOLに近いとされています。 Cの拡張版であるC++言語とともに、現在世界中でもっとも普及されているプログラミング言語です。

Verilog

Verilogは、デジタル回路設計用の論理シミュレータ。また、ハードウェアの電子回路設計の際に用いるハードウェア記述言語を指すこともあります。両者を見分けるために、言語を「Verilog-HDL」と呼ぶ場合もあります。

C++

C++はC言語をもとにしてつくられた最もよく使われるマルチパラダイムプログラミング言語の1つです。オブジェクト指向、ジェネリック、命令型など広く対応しており、多目的に使用されています。

Q&A

解決済

1回答

327閲覧

Vivado HLSでのRTLシュミレーションについて

hello_whats_up

総合スコア57

C

C言語は、1972年にAT&Tベル研究所の、デニス・リッチーが主体となって作成したプログラミング言語です。 B言語の後継言語として開発されたことからC言語と命名。そのため、表記法などはB言語やALGOLに近いとされています。 Cの拡張版であるC++言語とともに、現在世界中でもっとも普及されているプログラミング言語です。

Verilog

Verilogは、デジタル回路設計用の論理シミュレータ。また、ハードウェアの電子回路設計の際に用いるハードウェア記述言語を指すこともあります。両者を見分けるために、言語を「Verilog-HDL」と呼ぶ場合もあります。

C++

C++はC言語をもとにしてつくられた最もよく使われるマルチパラダイムプログラミング言語の1つです。オブジェクト指向、ジェネリック、命令型など広く対応しており、多目的に使用されています。

0グッド

0クリップ

投稿2019/01/23 07:25

編集2019/01/31 02:47

VivadoHLSを用いて高位合成をしています。
Cのシュミレーションと合成は通ったのですが,RTL(Verilog)のシュミレーションを実行しても一向に終わりません.

原因として何が考えられますか?
分かる方は教えてください.

気になる質問をクリップする

クリップした質問は、後からいつでもMYページで確認できます。

またクリップした質問に回答があった際、通知やメールを受け取ることができます。

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

guest

回答1

0

ベストアンサー

まずは、純粋にsim の問題に分析から。

sim が終わらない原因は、

  1. 実際に何も起こっていない。
  2. ひとつの変数の更新が同じ時間に無限に行われている。

が、よくあります。
CPUの進み方を見れば、1. に当てはまるか判断できます。
<1> になるのは、例えば、信号 a が 1 になるのを待っているがいつまでも1 にならない。 ということがあります。
<2> は、a <= a+1 ; みたいなケースがあります。RTLの場合は、複数の事象が同時に平行に起こります。

Verilog

1assign a = b + 1 ; 2assign c = d + 1 ;

とあれば、a, c の更新は同時に起こり得て、しかも b がどこで変化してもa の更新は行われます。お手軽な対策としては、

Verilog

1assign a = #1 b +1 ;

のように代入を#1 だけ遅延させる という方法があります。#1 である根拠は無く、#1 遅延で他の問題が起こる場合もありますが、お手軽な方法として使われます。

C合成であれば、1のケースのほうは起こりやすそうです。2. のケースはコンパイラが自動的に必要な部分を遅延させていたような気がします。
sim を途中で止めると何が起こっていなかったかわかります。
何かが起こらなかった原因は、C での配列のover flow, 自然数型変数の変域超過がありえます。n がunsigned int であったにもかかわらず -1 になることを期待するケースなど。
C sim では型変換で言語の定義上は不定であっても何かに変換されたり、Gcc の拡張として、変換が起こる場合があります。RTL Sim はClang を使うのでClang は異なる変換をするかもしれません。

直接答えにはならないのですが、結果的にはC のレベルで実はバグがあるにも関わらず正常に終わっているように見えるだけでは?が私の推測です。

参考になったら評価してね。

投稿2019/03/03 14:13

gm300

総合スコア580

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

あなたの回答

tips

太字

斜体

打ち消し線

見出し

引用テキストの挿入

コードの挿入

リンクの挿入

リストの挿入

番号リストの挿入

表の挿入

水平線の挿入

プレビュー

15分調べてもわからないことは
teratailで質問しよう!

ただいまの回答率
85.48%

質問をまとめることで
思考を整理して素早く解決

テンプレート機能で
簡単に質問をまとめる

質問する

関連した質問