質問をすることでしか得られない、回答やアドバイスがある。

15分調べてもわからないことは、質問しよう!

新規登録して質問してみよう
ただいま回答率
85.47%
VHDL

VHDLは、デジタル回路設計用のハードウェア記述言語の一つ。明確な回路を加味せず、動作のみを書くだけでハードウェアの動作を定義することが可能。ソフトウェアのプログラミングと同じような設計ができます。

CPU

CPUは、コンピュータの中心となる処理装置(プロセッサ)で中央処理装置とも呼ばれています。プログラム演算や数値計算、その他の演算ユニットをコントロール。スマホやPCによって内蔵されているCPUは異なりますが、処理性能が早いほど良いとされています。

コンパイルエラー

コンパイルのフェーズで生成されるエラーです。よく無効なシンタックスやタイプが含まれているとき発生します。

Q&A

解決済

2回答

1860閲覧

VHDLで符号拡張がうまくいかない

Ryuuse

総合スコア27

VHDL

VHDLは、デジタル回路設計用のハードウェア記述言語の一つ。明確な回路を加味せず、動作のみを書くだけでハードウェアの動作を定義することが可能。ソフトウェアのプログラミングと同じような設計ができます。

CPU

CPUは、コンピュータの中心となる処理装置(プロセッサ)で中央処理装置とも呼ばれています。プログラム演算や数値計算、その他の演算ユニットをコントロール。スマホやPCによって内蔵されているCPUは異なりますが、処理性能が早いほど良いとされています。

コンパイルエラー

コンパイルのフェーズで生成されるエラーです。よく無効なシンタックスやタイプが含まれているとき発生します。

0グッド

0クリップ

投稿2021/02/06 03:18

VHDLの下記のソースコードで、コンパイル中に次のようなエラー文が出ました。「Error (10395): VHDL Conditional Signal Assignment error at mips_datapath.vhdl(150): conditional waveforms must have same number of elements」
しかし、該当する150行目( signimm <= X"0000" & immaddr when immaddr(15) = '0' else X"FFFF" & signimm;)を見てもどこがおかしいのかよく分かりませんでした。このエラーの原因と対処方法が分かりましたら教えて下さい。該当のソースコードは以下の通りです。

vhdl

1library ieee; 2use ieee.std_logic_1164.all; 3use ieee.std_logic_unsigned.all; 4 5entity mips_datapath is 6 port ( 7 clk, rst : in std_logic; 8 rd1sel, branch, jump : in std_logic; 9 regwrite, regdst, r31Set : in std_logic; 10 alusrc : in std_logic; 11 memwrite : in std_logic; 12 memtoreg, pc4toreg : in std_logic; 13 alucontrol : in std_logic_vector(2 downto 0); 14 instr : out std_logic_vector(31 downto 0); -- to Controller 15 pc, aluout, writedata : out std_logic_vector(31 downto 0)); -- to Data Memory 16 17end mips_datapath; 18 19architecture structure of mips_datapath is 20 21 component imem 22 port ( 23 addr : in std_logic_vector(31 downto 0); 24 rd : out std_logic_vector(31 downto 0)); 25 end component; 26 27 component alu 28 port ( 29 srcA, srcB : in std_logic_vector(31 downto 0); 30 alucontrol : in std_logic_vector(2 downto 0); 31 aluout : out std_logic_vector(31 downto 0); 32 zero : out std_logic); 33 end component; 34 35 component regfile 36 port ( 37 clk : in std_logic; 38 we3 : in std_logic; 39 ra1, ra2, wa3 : in std_logic_vector(4 downto 0); 40 wd3 : in std_logic_vector(31 downto 0); 41 rd1, rd2 : out std_logic_vector(31 downto 0)); 42 end component; 43 44 component dmem 45 port ( 46 clk : in std_logic; 47 we : in std_logic; 48 addr, wd : in std_logic_vector(31 downto 0); 49 rd : out std_logic_vector(31 downto 0)); 50 end component; 51 52 component signext 53 port ( 54 a : in std_logic_vector(15 downto 0); 55 y : out std_logic_vector(31 downto 0)); 56 end component; 57 58 signal PCreg, pc_next : std_logic_vector(31 downto 0); 59 signal pc4, pcjump, pcbranch : std_logic_vector(31 downto 0); 60 61 signal signimm : std_logic_vector(31 downto 0); 62 63 signal inst : std_logic_vector(31 downto 0);-- 命令メモリからの出力 64 65 signal srcA, srcB : std_logic_vector(31 downto 0);-- ALUへの入力 66 signal rs : std_logic_vector(4 downto 0); 67 signal rt : std_logic_vector(4 downto 0); 68 signal rd : std_logic_vector(4 downto 0); 69 signal wa3 : std_logic_vector(4 downto 0); 70 signal rd1, rd2 : std_logic_vector(31 downto 0); 71 signal immaddr : std_logic_vector(15 downto 0); 72 signal WriteAddr : std_logic_vector (4 downto 0); -- 書き込みアドレス 73 signal WriteData0 : std_logic_vector (31 downto 0); -- 書き込みデータ 74 signal ALUout0 : std_logic_vector (31 downto 0); -- からの出力ALU 75begin 76 77 ----------------------------------------------------------------------------- 78 -- プログラムカウンタ 79 pc <= PCreg; 80 81 process (clk, rst) 82 begin 83 if rst = '0' then 84 PCreg <= X"00000000"; 85 elsif clk'event and clk = '1' then 86 PCreg <= pc_next; 87 end if; 88 end process; 89 90 -- 次のPCのアドレスを計算する 91 process (jump, branch, rd1sel, pcjump, pcbranch, srcA, pc4) 92 begin 93 if jump = '1' then 94 pc_next <= pcjump; 95 elsif branch = '1' then 96 pc_next <= pcbranch; 97 elsif rd1sel = '1' then 98 pc_next <= srcA; 99 else 100 pc_next <= pc4; 101 end if; 102 end process; 103 pc4 <= PCreg + 4; 104 pcjump <= pc4(31 downto 28) & inst(25 downto 0) & "00"; 105 pcbranch <= (signimm(29 downto 0) & "00") + pc4; 106 ----------------------------------------------------------------------------- 107 108 ----------------------------------------------------------------------------- 109 -- Instruction Fetch 110 IMEM0 : imem port map ( 111 addr => PCreg, 112 rd => inst); 113 114 ----------------------------------------------------------------------------- 115 -- Instruction Decode 116 -- レジスタファイル 117 -- Instruction Memoryの出力信号をinstructionとする 118 instr <= inst; -- 出力 119 rs <= inst(25 downto 21); 120 rt <= inst(20 downto 16); 121 rd <= inst(15 downto 11); 122 immaddr <= inst(15 downto 0); 123 124 RF : regfile port map ( 125 clk => clk, 126 we3 => regwrite, 127 ra1 => rs, 128 ra2 => rt, 129 wa3 => rd, 130 wd3 => inst); 131 132 process (rt, rd, regdst, r31set) 133 begin 134 if r31Set = '1' then 135 wa3 <= "11111"; 136 elsif regdst = '1' then 137 wa3 <= rd; 138 else 139 wa3 <= rt; 140 end if; 141 end process; 142 143 ----------------------------------------------------------------------------- 144 -- Execution 145 146 -- ALUの接続 147 -- 符号拡張するところに注意 148 149 signimm <= 150 X"0000" & immaddr when immaddr(15) = '0' else X"FFFF" & signimm; 151 152 ALU0 : alu port map ( 153 srcA => srcA , 154 srcB => signimm , 155 alucontrol => alucontrol , 156 aluout => ALUout0); 157 ----------------------------------------------------------------------------- 158 159 ----------------------------------------------------------------------------- 160 -- Memory 161 WriteData <= Writedata0 ; 162 -- Data Memoryまわりの接続 163 MEM0 : dmem port map ( 164 clk => clk, 165 we => MemWrite, 166 addr => ALUout0, 167 wd => rd2, 168 rd => writedata); 169 170 ----------------------------------------------------------------------------- 171 172end structure; 173

気になる質問をクリップする

クリップした質問は、後からいつでもMYページで確認できます。

またクリップした質問に回答があった際、通知やメールを受け取ることができます。

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

guest

回答2

0

ベストアンサー

X"FFFF" & signimm はsignimmが32bitなので計48bitになりもう一方の項とsame number of elementsでないためだと思いますけど…そもそもこれX"0000" & immaddrとしたかったのではないですか?

投稿2021/02/06 03:43

ikadzuchi

総合スコア3047

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

Ryuuse

2021/02/06 04:06

なるほど。少し勘違いをしていたみたいでした。回答ありがとうございます。
guest

0

signimm <= immaddr(15) = '0';

条件が成立したらこういう代入になりますね


if ではなかったですね
では、
signimm と X"FFFF" & signimm の幅が違う、ってことですね

投稿2021/02/06 03:37

編集2021/02/06 03:45
y_waiwai

総合スコア87784

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

あなたの回答

tips

太字

斜体

打ち消し線

見出し

引用テキストの挿入

コードの挿入

リンクの挿入

リストの挿入

番号リストの挿入

表の挿入

水平線の挿入

プレビュー

15分調べてもわからないことは
teratailで質問しよう!

ただいまの回答率
85.47%

質問をまとめることで
思考を整理して素早く解決

テンプレート機能で
簡単に質問をまとめる

質問する

関連した質問