回答編集履歴

1

修正

2023/01/19 07:09

投稿

ozwk
ozwk

スコア13528

test CHANGED
@@ -6,14 +6,14 @@
6
6
  output reg [7:0] dest
7
7
  );
8
8
 
9
- reg [7:0] j; // logic -> reg
10
- logic [7:0] [7:0]src;
9
+ logic [7:0] j;
10
+ logic [7:0] src[7:0]; // ここを変えた
11
11
 
12
- always @(posedge clock) begin
12
+ always_ff @(posedge clock) begin
13
13
  j <= j + 1;
14
14
  end
15
15
 
16
- always @(posedge clock) begin
16
+ always_ff @(posedge clock) begin
17
17
  dest <= src[j][7:0];
18
18
  end
19
19