質問をすることでしか得られない、回答やアドバイスがある。

15分調べてもわからないことは、質問しよう!

新規登録して質問してみよう
ただいま回答率
85.48%
VHDL

VHDLは、デジタル回路設計用のハードウェア記述言語の一つ。明確な回路を加味せず、動作のみを書くだけでハードウェアの動作を定義することが可能。ソフトウェアのプログラミングと同じような設計ができます。

Q&A

1回答

376閲覧

vhdlのbit数の変更について

rrtmjaa1

総合スコア0

VHDL

VHDLは、デジタル回路設計用のハードウェア記述言語の一つ。明確な回路を加味せず、動作のみを書くだけでハードウェアの動作を定義することが可能。ソフトウェアのプログラミングと同じような設計ができます。

0グッド

0クリップ

投稿2022/09/21 07:57

vhdlのコードを書いています。

現在取り込むデータを in std_logic_vector(15 downto 0)で定義しているのですが、これを12bitに変更し行いたいです。
単にin std_ logic_vector(11 downto 0)で定義するとエラーになってしまいます。

この16bitで定義されたものを12bitに変更するには
どのようにすれば良いか教えていただきたいです。
よろしくお願いします。

気になる質問をクリップする

クリップした質問は、後からいつでもMYページで確認できます。

またクリップした質問に回答があった際、通知やメールを受け取ることができます。

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

ozwk

2022/09/21 09:05

エラーの内容はなんですか?
y_waiwai

2022/09/21 10:14

エラーが出たなら、エラーメッセージを提示しましょう エラーメッセージは、よけいな省略翻訳しないで出たそのママをコピペで提示してください そして、コードを提示しましょう
guest

回答1

0

bit数を変更できない、のではなく、そこで変更したものが、コードの他の場所でその記述と辻褄が合わなくなってエラーが出ているだけ、です。
その変更したbit数に合わせて、コードを変更する必要があります

投稿2022/09/21 10:41

y_waiwai

総合スコア87774

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

あなたの回答

tips

太字

斜体

打ち消し線

見出し

引用テキストの挿入

コードの挿入

リンクの挿入

リストの挿入

番号リストの挿入

表の挿入

水平線の挿入

プレビュー

まだベストアンサーが選ばれていません

会員登録して回答してみよう

アカウントをお持ちの方は

15分調べてもわからないことは
teratailで質問しよう!

ただいまの回答率
85.48%

質問をまとめることで
思考を整理して素早く解決

テンプレート機能で
簡単に質問をまとめる

質問する

関連した質問