質問をすることでしか得られない、回答やアドバイスがある。

15分調べてもわからないことは、質問しよう!

新規登録して質問してみよう
ただいま回答率
85.48%
Verilog

Verilogは、デジタル回路設計用の論理シミュレータ。また、ハードウェアの電子回路設計の際に用いるハードウェア記述言語を指すこともあります。両者を見分けるために、言語を「Verilog-HDL」と呼ぶ場合もあります。

コードレビュー

コードレビューは、ソフトウェア開発の一工程で、 ソースコードの検査を行い、開発工程で見過ごされた誤りを検出する事で、 ソフトウェア品質を高めるためのものです。

Q&A

1回答

2394閲覧

verilogでnビット加算器を作る

tg_ROM_ri

総合スコア0

Verilog

Verilogは、デジタル回路設計用の論理シミュレータ。また、ハードウェアの電子回路設計の際に用いるハードウェア記述言語を指すこともあります。両者を見分けるために、言語を「Verilog-HDL」と呼ぶ場合もあります。

コードレビュー

コードレビューは、ソフトウェア開発の一工程で、 ソースコードの検査を行い、開発工程で見過ごされた誤りを検出する事で、 ソフトウェア品質を高めるためのものです。

0グッド

0クリップ

投稿2021/08/01 14:22

前提・実現したいこと

verilogでnビット加算器を作っているのですが、構文エラーの表示が出てしまします。

発生している問題・エラーメッセージ

$ iverilog -o k5 -s k5Sim k5Sim.v k5.v k5.v:10: syntax error k5.v:10: error: syntax error in continuous assignment

該当のソースコード

v

1module k5(cu, s, x, y, ci); 2 parameter n = 4; 3 4 input [n-1:0] x , y; 5 input ci; 6 output cu; 7 output [n-1:0] s; 8 wire [n-1: 0] c; 9 10 assign {cu, c[n-1:1]} = x[n-1:0] & y[n-1:0] | x[n-1:0] & c[n-1:0] | y[n-1:0] & [n-1:0]; 11 12 assign s = x ^ y ^ c; 13 14endmodule 15

v

1module k5Sim; 2 3 reg [3:0] x, y; 4 5 reg ci; 6 7 wire cu; 8 9 wire [3:0] s; 10 11 k5 k5(cu, s, x, y, ci); 12 13 14 initial 15 begin 16 $monitor(" %b %b %b %b %b", x, y, ci, cu, s, $stime); 17 18 $display(" x y ci cu s time"); 19 20 x=4'b0000; 21 y=4'b0000; 22 ci=1'b0; 23 24 #50 x=4'b0011; 25 y=4'b0011; 26 ci=1'b0; 27 28 #50 x=4'b0110; 29 y=4'b0011; 30 ci=1'b0; 31 32 #50 x=4'b0111; 33 y=4'b0111; 34 ci=1'b0; 35 36 #50 x=4'b1110; 37 y=4'b0111; 38 ci=1'b0; 39 40 #50 x=4'b1110; 41 y=4'b0111; 42 ci=1'b1; 43 44 #50 $finish; 45 46 end 47 endmodule 48

試したこと

テストベンチの書き方もこれでいいのかが分かりません。

気になる質問をクリップする

クリップした質問は、後からいつでもMYページで確認できます。

またクリップした質問に回答があった際、通知やメールを受け取ることができます。

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

ozwk

2021/08/01 21:28

エラー文に何が書いてあるかわかりますか?
guest

回答1

0

もしかして、「ちゃんと書いたはずなのにエラーが出ている」とか思っています?
そう思っちゃうと目は塞がれて目の前にある間違いにも気付かなくなります。
エラーが出ているのだから間違いがあるはず、それを探してください。

assign {cu, c[n-1:1]} = x[n-1:0] & y[n-1:0] | x[n-1:0] & c[n-1:0] | y[n-1:0] & [n-1:0];

眺めるだけで「他と違う」けどこれはどうなのかしら?

フリーフォーマットな言語なら、横にずらずら書かないで

verilog

1 assign {cu, c[n-1:1]} = 2 x[n-1:0] & y[n-1:0] | 3 x[n-1:0] & c[n-1:0] | 4 y[n-1:0] & [n-1:0];

みたいな書式にしてみるのも悪くないかも。

投稿2021/08/01 22:03

編集2021/08/01 22:06
thkana

総合スコア7629

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

あなたの回答

tips

太字

斜体

打ち消し線

見出し

引用テキストの挿入

コードの挿入

リンクの挿入

リストの挿入

番号リストの挿入

表の挿入

水平線の挿入

プレビュー

まだベストアンサーが選ばれていません

会員登録して回答してみよう

アカウントをお持ちの方は

15分調べてもわからないことは
teratailで質問しよう!

ただいまの回答率
85.48%

質問をまとめることで
思考を整理して素早く解決

テンプレート機能で
簡単に質問をまとめる

質問する

関連した質問