質問編集履歴
1
コード全体を追記しました。
test
CHANGED
File without changes
|
test
CHANGED
@@ -5,8 +5,22 @@
|
|
5
5
|
この書式ではインデックスが定数でないといけないようですが、変数で指定したい場合はどのようにすれば良いでしょうか?
|
6
6
|
|
7
7
|
```
|
8
|
+
module Test(
|
9
|
+
input clock,
|
10
|
+
output reg [7:0] dest
|
11
|
+
);
|
12
|
+
|
13
|
+
logic [7:0] j;
|
14
|
+
logic [7:0][7:0] src;
|
15
|
+
|
8
16
|
always_ff @(posedge clock) begin
|
9
|
-
|
17
|
+
j <= j + 1;
|
10
18
|
end
|
19
|
+
|
20
|
+
always_ff @(posedge clock) begin
|
21
|
+
dest <= src[j][7:0];
|
22
|
+
end
|
23
|
+
|
24
|
+
endmodule
|
11
25
|
```
|
12
26
|
|