質問編集履歴

1

プログラム変更

2022/06/06 05:54

投稿

iface
iface

スコア42

test CHANGED
File without changes
test CHANGED
@@ -50,7 +50,33 @@
50
50
  end
51
51
  endmodule
52
52
  ```
53
+ 変更後
54
+ ```Verilog
55
+ module counter (
56
+ input wire CLK, RES,
57
+ output reg [3:0]COUNT);
58
+
59
+ reg [23:0] scale;
53
60
 
61
+ always @(posedge CLK or negedge RES) begin
62
+ if (RES == 1'b0)
63
+ scale <= 24'h0;
64
+ else if (scale == 24'd9999999)
65
+ scale <=24'd0;
66
+ else
67
+ scale <=scale + 24'd1;
68
+ end
69
+
70
+ assign wire EN = scale == 24'd9999999;
71
+
72
+ always @(posedge CLK or negedge RES) begin
73
+ if (RES == 1'b0)
74
+ COUNT <= 4'd0;
75
+ else if(EN == 1'b1)
76
+ COUNT <= COUNT + 4'd1;
77
+ end
78
+ endmodule
79
+ ```
54
80
  ### 試したこと
55
81
 
56
82
  ここに問題に対して試したことを記載してください。