質問をすることでしか得られない、回答やアドバイスがある。

15分調べてもわからないことは、質問しよう!

新規登録して質問してみよう
ただいま回答率
85.48%
プログラミング言語

プログラミング言語はパソコン上で実行することができるソースコードを記述する為に扱う言語の総称です。

Q&A

解決済

2回答

5745閲覧

FPGA 7セグメントLEDカウンターを作りたい

shuppi

総合スコア47

プログラミング言語

プログラミング言語はパソコン上で実行することができるソースコードを記述する為に扱う言語の総称です。

0グッド

1クリップ

投稿2017/01/12 00:25

編集2017/01/12 02:38

BASYS3 Artix-7 FPGAボード: 目的別 秋月電子通商 電子部品 ネット通販

こちらのボードのみを使っており、7セグメントLEDを使ってカウンターを作りたいと思っています。
とりあえず2桁にしようと思っています。99までいったら0に戻したいです。
(例1→2→3→~→9→10→11)

マニュアルの15ページで、自分はてっきり各桁ごとに点灯できると思っていましたが、すべて統一?みたいな感じでどうすれば各桁ごとに別々の数字が表示できるのか分かりません。

どうにかできないかと思って自分でプログラムを組んでみましたが、各桁が同じく19までカウントしたり、ANを03をいじって表示桁を増やす減らすみたいなものしか作れませんでした。
(例 1111→2222→3333→~→9999→0000→繰り返し)

自分はカウンターを作りたいので、サンプルや、参考サイトを教えて頂けたら嬉しいです。

お願いします。

気になる質問をクリップする

クリップした質問は、後からいつでもMYページで確認できます。

またクリップした質問に回答があった際、通知やメールを受け取ることができます。

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

guest

回答2

0

ベストアンサー

ダイナミック点灯のLEDだと思います。
1234を表示するには1を一瞬点灯させ、次に2を一瞬・・・というようにすると残像効果で人間には1234が見えるというわけです。
マニュアルの16ページに1KHz~60Hz(1ms~16ms)と書いてあるので、「一瞬」はその範囲にしましょう。タイミングの管理についてはボードに搭載されたクロックソースを使う必要があるかもしれません(ビジーループでもいけるっちゃいけますが…)。

投稿2017/01/12 00:46

sharow

総合スコア1149

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

0

0-99を繰り返す回路

2進数をBCDに変換する回路

BCDを7セグに表示する回路

または

BCDで0-99を繰り返す回路

BCDを7セグに表示する回路

という構成になることと思います。

なんにせよBCD(2進化10進数)を使います。

「BCDを7セグに表示する回路」は一桁ずつ7セグに表示して
人の目でわからない速度で桁を切り替えればいいです。

投稿2017/01/12 02:55

ozwk

総合スコア13521

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

あなたの回答

tips

太字

斜体

打ち消し線

見出し

引用テキストの挿入

コードの挿入

リンクの挿入

リストの挿入

番号リストの挿入

表の挿入

水平線の挿入

プレビュー

15分調べてもわからないことは
teratailで質問しよう!

ただいまの回答率
85.48%

質問をまとめることで
思考を整理して素早く解決

テンプレート機能で
簡単に質問をまとめる

質問する

関連した質問