質問編集履歴

1

情報の追加

2021/08/01 20:41

投稿

im_a_student
im_a_student

スコア6

test CHANGED
@@ -1 +1 @@
1
- 【Vivado】エラーの意味を教えてほしい
1
+ bu【Vivado】エラーの意味を教えてほしい
test CHANGED
@@ -153,3 +153,79 @@
153
153
  [get_ports { LED_RGB[0] }]; # Blue
154
154
 
155
155
  ```
156
+
157
+
158
+
159
+ ##表示されたログ
160
+
161
+ ```
162
+
163
+ Report BlackBoxes:
164
+
165
+ +-+--------------+----------+
166
+
167
+ | |BlackBox name |Instances |
168
+
169
+ +-+--------------+----------+
170
+
171
+ +-+--------------+----------+
172
+
173
+
174
+
175
+ Report Cell Usage:
176
+
177
+ +------+-----+------+
178
+
179
+ | |Cell |Count |
180
+
181
+ +------+-----+------+
182
+
183
+ |1 |OBUF | 3|
184
+
185
+ +------+-----+------+
186
+
187
+ ---------------------------------------------------------------------------------
188
+
189
+ Finished Writing Synthesis Report : Time (s): cpu = 00:00:31 ; elapsed = 00:00:33 . Memory (MB): peak = 1118.707 ; gain = 0.000
190
+
191
+ ---------------------------------------------------------------------------------
192
+
193
+ Synthesis finished with 0 errors, 0 critical warnings and 0 warnings.
194
+
195
+ Synthesis Optimization Runtime : Time (s): cpu = 00:00:20 ; elapsed = 00:00:31 . Memory (MB): peak = 1118.707 ; gain = 0.000
196
+
197
+ Synthesis Optimization Complete : Time (s): cpu = 00:00:31 ; elapsed = 00:00:33 . Memory (MB): peak = 1118.707 ; gain = 0.000
198
+
199
+ INFO: [Project 1-571] Translating synthesized netlist
200
+
201
+ Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1118.707 ; gain = 0.000
202
+
203
+ INFO: [Project 1-570] Preparing netlist for logic optimization
204
+
205
+ INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
206
+
207
+ Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1118.707 ; gain = 0.000
208
+
209
+ INFO: [Project 1-111] Unisim Transformation Summary:
210
+
211
+ No Unisim elements were transformed.
212
+
213
+
214
+
215
+ Synth Design complete, checksum: 94b5bcf5
216
+
217
+ INFO: [Common 17-83] Releasing license: Synthesis
218
+
219
+ 15 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
220
+
221
+ synth_design completed successfully
222
+
223
+ synth_design: Time (s): cpu = 00:00:36 ; elapsed = 00:00:42 . Memory (MB): peak = 1118.707 ; gain = 0.000
224
+
225
+ INFO: [Common 17-1381] The checkpoint 'C:/Xilinx/FPGA/Zybo_Z7-10/blink/blink.runs/synth_1/blink.dcp' has been generated.
226
+
227
+ INFO: [runtcl-4] Executing : report_utilization -file blink_utilization_synth.rpt -pb blink_utilization_synth.pb
228
+
229
+ INFO: [Common 17-206] Exiting Vivado at Mon Aug 2 05:11:25 2021...
230
+
231
+ ```