質問編集履歴

2

2021/02/06 23:43

投稿

Ryuuse
Ryuuse

スコア27

test CHANGED
@@ -1 +1 @@
1
- VHDLで原因不明のエラー
1
+ VHDLでprocess文とループ関係のエラー
test CHANGED
File without changes

1

修正

2021/02/06 23:43

投稿

Ryuuse
Ryuuse

スコア27

test CHANGED
File without changes
test CHANGED
@@ -1,4 +1,4 @@
1
- MIPSでコンパイルを実行したときに、以下の二つのエラーが出ました。「Error (10384): VHDL assignment error at imem.vhdl(37): index 64 is outside the range (0 to 63) of object "mem"」「Error (10442): VHDL Process Statement error at imem.vhdl(20): Process Statement must contain either a sensitivity list or a Wait Statement」
1
+ VHDLでコンパイルを実行したときに、以下の二つのエラーが出ました。「Error (10384): VHDL assignment error at imem.vhdl(37): index 64 is outside the range (0 to 63) of object "mem"」「Error (10442): VHDL Process Statement error at imem.vhdl(20): Process Statement must contain either a sensitivity list or a Wait Statement」
2
2
 
3
3
  該当する箇所を確認し、改善を試みましたがエラーは直らず困っています。一つ目のエラーは恐らくループが関係していると思うのですが...。このエラーの原因と対処法が分かれば教えて下さい。該当するソースコードは以下の通りです。
4
4