質問編集履歴

2

2018/01/23 17:27

投稿

ishi--
ishi--

スコア6

test CHANGED
File without changes
test CHANGED
@@ -28,9 +28,9 @@
28
28
 
29
29
 
30
30
 
31
- #define kOutClock 5
31
+ define kOutClock 5
32
32
 
33
- #define kInSignal 4
33
+ define kInSignal 4
34
34
 
35
35
 
36
36
 

1

2018/01/23 17:27

投稿

ishi--
ishi--

スコア6

test CHANGED
File without changes
test CHANGED
@@ -25,6 +25,8 @@
25
25
 
26
26
 
27
27
  ###該当のソースコード
28
+
29
+
28
30
 
29
31
  #define kOutClock 5
30
32