お世話になります。
タイトルのintel入ってますか?はもう聞かなくなってしまいました。
タイトルの通りで加算回路を設計してC言語で高速な計算を行いたいのですが、仕様が分かりません。
後々、ニューラルネットワークを設計したいと思っておりますが、そもそも、値の受け渡しの設定?方法?が分からずつまずいてます。
行いたい事はモジュールを設計し、それに値を渡し結果を受け取ることを行いたいです。
Verilog
1 2module ADD( 3 input wire clk, 4 input wire res_n, 5 input wire [7:0] inputA, // C言語から値を渡す 6 input wire [7:0] inputB, // C言語から値を渡す 7 output wire [7:0] ouputC // C言語から値を受け取る 8); 9 10reg [7:0] result; 11always @* begin 12 result = inputA + inputB; 13end 14 15assign outputC = result; 16 17// QSYS から 設計した 回路 18MYQSYS yMYQSYS( 19 .clk_clk(clk), 20 .reset_reset(res_n), 21 .inputA_external_connection(inputA), 22 .inputB_external_connection(inputB), 23 .outpoutC_external_connection(outputC) 24); 25
C
1# include <必要なライブラリ> 2 3int main(void) { 4 uint8_t A, B, C; 5 A = 1; B = 2; 6 FPGAモジュールADDのinputA(A); // inputAの引数に値を渡す 7 FPGAモジュールADDのinputB(B); // inputBの引数に値を渡す 8 C = FPGAモジュールADDのoutputC(PIO_0_BASE); // outputCの結果を受け取る 9 10 printf("A(%d) + B(%d) = C(%d)\n", A, B, C); // output: A(1) + B(2) = C(3) 11 12 return 0; 13}
上記のようなイメージで設計をしたいのですが、分かりません。
どうかご教授をお願いします。
参考となるサイトがあれば是非教えて頂きたいです。
宜しくお願い致します。