質問をすることでしか得られない、回答やアドバイスがある。

15分調べてもわからないことは、質問しよう!

新規登録して質問してみよう
ただいま回答率
85.48%
Verilog

Verilogは、デジタル回路設計用の論理シミュレータ。また、ハードウェアの電子回路設計の際に用いるハードウェア記述言語を指すこともあります。両者を見分けるために、言語を「Verilog-HDL」と呼ぶ場合もあります。

コマンド

コマンドとは特定のタスクを行う為に、コンピュータープログラムへ提示する指示文です。多くの場合、コマンドはShellやcmdようなコマンドラインインターフェイスに対する指示文を指します。

Q&A

解決済

1回答

3772閲覧

verilog-HDLでdffの実行でエラーが起きる

Rauto

総合スコア2

Verilog

Verilogは、デジタル回路設計用の論理シミュレータ。また、ハードウェアの電子回路設計の際に用いるハードウェア記述言語を指すこともあります。両者を見分けるために、言語を「Verilog-HDL」と呼ぶ場合もあります。

コマンド

コマンドとは特定のタスクを行う為に、コンピュータープログラムへ提示する指示文です。多くの場合、コマンドはShellやcmdようなコマンドラインインターフェイスに対する指示文を指します。

0グッド

0クリップ

投稿2021/08/01 10:40

前提・実現したいこと

verilog-HDLでdffをのテストプログラムを実行したいです.

発生している問題・エラーメッセージ

$ iverilog -o dffn -s dffnSim dffnSim.v dffn.v clk.v dffnSim.v:14: syntax error dffn.v:1: error: malformed statement dffn.v:2: syntax error dffn.v:2: error: malformed statement dffn.v:3: syntax error dffn.v:3: error: malformed statement dffn.v:4: syntax error dffn.v:4: error: malformed statement dffn.v:5: syntax error dffn.v:5: Syntax in assignment statement l-value. dffn.v:6: syntax error dffn.v:6: Syntax in assignment statement l-value. dffn.v:7: syntax error clk.v:1: error: malformed statement clk.v:2: syntax error clk.v:2: error: malformed statement clk.v:3: syntax error clk.v:3: error: malformed statement clk.v:4: syntax error clk.v:4: Syntax in assignment statement l-value. clk.v:5: syntax error clk.v:5: Syntax in assignment statement l-value. clk.v:6: syntax error I give up.

該当のソースコード

v

1module clk(ck); 2 output ck; 3 reg ck; 4 initial ck = 0; 5 always #50 ck = ~ck; 6endmodule 7

v

1module dffn(Q, D, ck); 2 input D, ck; 3 output Q; 4 reg Q; 5 initial Q = 0; 6 always @(negedge ck) Q = D; 7 endmodule 8

v

1module dffnSim; 2 reg i; 3 wire o; 4 clk clk1(ck); 5 dffn dffn1(o, i, ck); 6 initial 7 begin 8 $monitor(" %b %b %b",ck,i,o,$stime); 9 $display("ck i o time"); 10 i = 0; 11 #100 i = 1; 12 #200 i = 0; 13 #100 $finish; 14endmodule 15

試したこと

サンプルプログラム通りに記述したはずがエラーまみれになって困っています.プログラムが間違っているのが,実行のコマンドが間違っているのかも分からないです.

気になる質問をクリップする

クリップした質問は、後からいつでもMYページで確認できます。

またクリップした質問に回答があった際、通知やメールを受け取ることができます。

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

guest

回答1

0

ベストアンサー

少なくともソフトウェア界隈では「実行」というのはソースコードに書かれている内容に沿ってターゲットマシンが動作することをいいます。ソースコードに文法エラーがあったりすると、コンパイルの必要な処理系では実行には至りません。コンパイル時のエラーはコンパイル時のエラーとして弁別してください。エラーが有る文書は、プログラムとして成立していないプログラム未満のナニかでしかありません。この辺はverilogでも変わらないと思うのですけれど。

で。verilogについてはHello worldレベルしかやっていないし、最近PCを入れ替えてverilog環境を構築していないので確かめられませんが、少なくともdffnSimではbeginに対するendがないので文法エラーになっているんだろう、という想像はできます。

投稿2021/08/01 11:11

thkana

総合スコア7629

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

Rauto

2021/08/01 14:10

ありがとうございました!基本的なところから見返してみます。ご指摘ありがとうございます.
guest

あなたの回答

tips

太字

斜体

打ち消し線

見出し

引用テキストの挿入

コードの挿入

リンクの挿入

リストの挿入

番号リストの挿入

表の挿入

水平線の挿入

プレビュー

15分調べてもわからないことは
teratailで質問しよう!

ただいまの回答率
85.48%

質問をまとめることで
思考を整理して素早く解決

テンプレート機能で
簡単に質問をまとめる

質問する

関連した質問