質問をすることでしか得られない、回答やアドバイスがある。

15分調べてもわからないことは、質問しよう!

新規登録して質問してみよう
ただいま回答率
85.48%
Verilog

Verilogは、デジタル回路設計用の論理シミュレータ。また、ハードウェアの電子回路設計の際に用いるハードウェア記述言語を指すこともあります。両者を見分けるために、言語を「Verilog-HDL」と呼ぶ場合もあります。

Q&A

解決済

1回答

3098閲覧

vivado hlsでテストベンチを使って高位合成を行いたいのですが・・・

carnage0216

総合スコア194

Verilog

Verilogは、デジタル回路設計用の論理シミュレータ。また、ハードウェアの電子回路設計の際に用いるハードウェア記述言語を指すこともあります。両者を見分けるために、言語を「Verilog-HDL」と呼ぶ場合もあります。

0グッド

1クリップ

投稿2018/01/15 13:18

編集2018/01/17 06:37

vivado hlsを使って高位合成を試みているのですがテストベンチがなくてはいけないと表示されました。
ここで質問なのですがテストベンチを作らなくても高位合成を行う方法はないでしょうか?
またVerilogなどの言語でテストベンチを書かなくてもCLKのタイミングやレジスタを簡単に設定する方法はないでしょうか?
どうかよろしくお願いします。

気になる質問をクリップする

クリップした質問は、後からいつでもMYページで確認できます。

またクリップした質問に回答があった際、通知やメールを受け取ることができます。

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

guest

回答1

0

ベストアンサー

テストベンチは実質的には存在しない状態でも合成できます。
int main(int argc, char **argv) {
return 0 ;
}
とすればいいのです。CでテストせずにRTLレベルでの挙動を見ながらdebugしたい という希望だと思いますが、それは余計に遠回りです。

verilogを書かなくても値を設定する方法はありますが、verilog書くより面倒です。
そこでverilogで簡単に書く方法で、行きませんか?

`timescale 1ps / 1ps
module test;
reg clk ;
initial begin
#5000 ;clk=1 ;
#5000 ;clk=0 ;
end
endmodule
と書くと100MHzのclkが作れます。

ぶっちゃけ、信号直接制御だと、Cのプログラムがvoid func(int a,int b, int &x) { x=a+b;}であっても、一般にap_start等の制御が必要で初心者には余計に面倒です。
test benchで、
a=1;b=1;func(a,b,x);if( x != a+b) return 1 ;
としてsim結果をHLSで眺めた方が楽です。funcの中身がながっちいときは、
合成用のfuncHと全く内容は同じtest用のfuncSを用意して、結果を比較します。
void funcH(int a,int b,int &x) {x=a+b;}
void funcS(int a,int b,int &x) {x=a+b;}
で結果をxH, xSに入れます。
a=1;b=2;funcH(a,b,xH);funcS(a,b,xS);if(xH != xS) retrn 1 ;
でxHとxSを比較します。

投稿2018/03/31 13:44

gm300

総合スコア580

バッドをするには、ログインかつ

こちらの条件を満たす必要があります。

carnage0216

2018/04/01 05:45

どうもありがとうございます。 最近はOPENCVとザイリンクスが共同して画像処理のオープンソースなどを出していますね。 gm300さんはFPGAでOPENCVなどを使って画像処理をされるのでしょうか?
gm300

2018/04/01 10:32

画像は本業では行っていません。OpenCVは本を読んだくらい。 本業は、通信、計算の高速処理です。
gm300

2018/04/01 10:34

ついでに。答えが気に入ったら、何か評価くださいね。
carnage0216

2018/04/01 10:37

高評価をつけさせていただきました。 計算の高速処理とはGPUでの計算ですか?
gm300

2018/04/02 07:25

FPGA使っています。GPUは使ったことないです。 FPGAで計算エレメント一個あたりで高い計算能力を実現するのは難しいのですが、その分へテロで並列度上げることができます。チップ全体で実現している処理量がショボイこともありますが、与えられた電力バジェットでは、高くできることもあります。 まあ初めの質問とは関係無いですね。無理にそこにつなげるとすると、FPGAでは、ものすごく沢山のメモリチャネルを持つような設計できます。strcmp(a,x0),strcmp(a.,x1), ..strcmp(a,xN)みたいな。しかしながら注意しないと、実はホストプロセッサとの通信がボトルネックになっていたり、AXIのバスの構造が欲張りすぎで速度が出ない場合があります。その部分をCで推測したり、希望的な高性能な回路構成を得るのは簡単ではないです。実際のツールの実行結果を見ながら、折れ合いを探すのが現実的な気がします。 .. ってわけで、おとなしくsimしましょう。
carnage0216

2018/04/02 07:29

ちなみに、FPGAよりもGPUのほうが処理速度も高いと聞きます。(画像処理や機械学習に置いて) 回答者様は、GPUを今後使わないのでしょうか? FPGAの中にGPUを搭載したものも性能が良いみたいです。
gm300

2018/04/02 14:57

初めの質問とは関係ないので、止めましょう。
carnage0216

2018/04/03 01:46

質問とは違うことを聞いてしまい申し訳ありませんでした。 どうもありがとうございます。
guest

あなたの回答

tips

太字

斜体

打ち消し線

見出し

引用テキストの挿入

コードの挿入

リンクの挿入

リストの挿入

番号リストの挿入

表の挿入

水平線の挿入

プレビュー

15分調べてもわからないことは
teratailで質問しよう!

ただいまの回答率
85.48%

質問をまとめることで
思考を整理して素早く解決

テンプレート機能で
簡単に質問をまとめる

質問する

関連した質問